Продолжительность дребезга кнопки

Тема в разделе "Схемотехника, компоненты, модули", создана пользователем DrProg, 26 янв 2016.

  1. Onkel

    Onkel Гуру

    конечно правильнее и проще.Но и кондером 0.1 uF не стоит пренебрегать, и подтяжкой 1 кОм к +5 вдобавок к внутренней подтяжке.
     
  2. DrProg

    DrProg Вечный нерд

    Аппаратное решение в этой теме я не рассматриваю, а в одной из прошлых написал что предпочитаю его программному, за что был традиционно раскритикован. Но чтобы городить огород на таймере, это как должно приспичить? И вообще я пока не до конца представляю его реализацию, поэтому и интересно посмотреть, может научусь чему.
     
    ИгорьК нравится это.
  3. 9xA59kK

    9xA59kK Гик

    Я использую библиотеку Bounce2 и она отлично работает в качестве программного антидребезга без функции delay(). ОНа по другому обрабатывает дребезг(в отличии от первой версии- хотя и она работает нормально), грубо говоря ждет когда закончится дребезг после чего ждет некоторое время и только после этого принимает значение нажатой кнопки. В описании приведены диаграммы. В этой версии реализована обработка нажатий по переднему и заднему фронту сигнала.
     
    Последнее редактирование: 26 янв 2016
    rico нравится это.
  4. DrProg

    DrProg Вечный нерд

    Спасибо за инфо, ознакомлюсь. Каков размер кода получается?
     
    ИгорьК нравится это.
  5. триггер шмитта стоит 15р, если дорого можно нашу ТЛ2 взять.
     
  6. DrProg

    DrProg Вечный нерд

    Вы бы первое сообщение прочитали внимательнее.
     
    ИгорьК нравится это.
  7. и чего там такова написано, для чего не годится ТЛ2?
    или нужно чтобы кнопка срабатывала за одну микросекунду?
     
  8. DrProg

    DrProg Вечный нерд

    Написано, что тему аппаратного подавления тут не поднимаю. Ниже я еще написал, что предпочитаю аппаратное решение геморою с библиотеками и прерываниям.
     
    ИгорьК нравится это.
  9. Kopilov

    Kopilov Гик

    Там написано: "Намеренно обойдя тему аппаратного подавления дребезга, которую здесь поднимали неоднократно." То есть, аппаратные решения изначально не являются объектом исследования. Производится сравнительный анализ программных решений, а не поиск альтернативы им всем.
    Сорри за университетский сленг :)
     
    DrProg нравится это.
  10. всё уже 50 раз исследовано и дано готовое решение проблемы, или тут сообщество поиска именных граблей?
     
  11. DrProg

    DrProg Вечный нерд

    Нет, тут сообщество профессионалов, которые все знают с рождения. И еще фрудеров, которые считают, что любой пост нужно превратить в доказательство огромных размеров своего... знания.

    Кстати, простого и правильного решения через прерывания я так и не дождался.
     
    ИгорьК нравится это.
  12. ИгорьК

    ИгорьК Гуру

    Как обычно, доктор прав! Особенно перед 12-летним пацаном.
     
    Пушной звер нравится это.
  13. DrProg

    DrProg Вечный нерд

    Да, и 50 исследований пожалуйста в студию. В тех двух примерах что я привел звучала цифра 10мс, в которой я усомнился и оказался прав, только и всего.
     
    ИгорьК нравится это.
  14. DrProg

    DrProg Вечный нерд

    А что не так я сказал? Где там про мои размеры?
     
    ИгорьК нравится это.
  15. даже на кнопки есть даташит, кнопки бывают разные, бывают вообще герконы, а бывают китайские, которые и за 10мс не срабатывают. но кому для этого дела, нужно утаивать разоблачения китайским осцилом с входной емкостью в сотни пикофарад.
     
  16. DrProg

    DrProg Вечный нерд

    Речь шла об обычных тактовых кнопках из примеров. Они, кстати, китайские как и все остальное. Покажите мне тактовую кнопку с дребезгом > 10мс и, особенно, даташит на нее где про такой дребезг написано.

    Китайский осциллограф вполне в состоянии показать дребезг в 10мс, если бы он был. И откуда инфа про сотни пикофарад? Пруф?

    Сомнения в 10мс возникли еще до замеров китайским осцилографом, когда уменьшение задержки никак не сказывалось на антидребезге. В конце концов решил посмотреть что там реально происходит. Дребезг есть и его видно, несколько скачков за время до 850нс китаец все таки показать смог.
     
    ИгорьК нравится это.
  17. тяжелый случай..

    даташит пишется для новых кнопок, со временем контакты окисляются, загрязняются и тд.. но кому до этого дело, можно быстро на макетке все слепить без лишних деталей, гарантии же давать ненужно.
     
  18. 9xA59kK

    9xA59kK Гик

    Раньше я собирал антидребезг и на тригере, и нашу логику использовать пробовал, но мне больше понравилось без этих заморочек просто подключить к контроллеру кнопку (кнопки) и готово. И пока всем доволен.
     
    Последнее редактирование: 26 янв 2016
  19. DrProg

    DrProg Вечный нерд

    Главное мифическим даташитом махнуть, который, как выяснилось, и не работает для старых ржавых кнопок.
     
    ИгорьК нравится это.
  20. DrProg

    DrProg Вечный нерд

    Вообще больше достают помехи, а не дребезг, если честно. Дребезг он более менее предсказуем, а помехи могут быть в любой момент и любой формы и длины. )
     
    ИгорьК нравится это.